饮料售卖机FSM_设计一个自动饮料售卖机画出fsm-程序员宅基地

技术标签: vivado  FPGA  

状态机的类型

mealy

输出与当前状态及输入有关
本状态机应该就属于mealy状态机

moore

输出只与当前状态有关
目前还没遇到这种状态机,我认为应该就是只有状态的转换吧,不考虑输入。

状态编码

我们知道有三种编吗方式;
1.binary编码:状态描述简洁,占用较少寄存器资源,是比较常用的状态机编码方式;
2.gray编码
3.one hot编码:占用更多寄存器资源,时序性能更好,适用于逻辑复杂的状态机设计:

要求

请设计一个状态机模拟饮料售卖机,接受1元, 2元, 5元的零钱,饮料为5元,并可以找零。

状态图

在这里插入图片描述

代码

\\top.v
`timescale 1ns / 1ps
               
module fsm(
		input wire sclk,
		input wire rst_n,
		input wire [2:0]pi_money,
		output reg	[2:0]po_cola,
		output reg  [2:0]po_money
);

reg [5:0] state;
parameter IDLE	   =6'b000001;
parameter S1	   =6'b000010;
parameter S2 	   =6'b000100; 
parameter S3      =6'b001000;
parameter S4	   =6'b010000;
parameter S5	   =6'b100000;

always@(posedge sclk or negedge rst_n)
		if(!rst_n)
		state<=IDLE;
		else case(state)
			IDLE:	if(pi_money == 2'b01)
			     state <= S1;
					else if(pi_money == 2'b10)
						state<=S2;
					else if(pi_money == 3'b101)
                          state<=S5;                         	
					else state <= IDLE;
			S1:	if(pi_money == 2'b01)
					   state<=S2;
					else if(pi_money == 2'b10)
                            state<=S3;
                    else if(pi_money == 3'b101)
                           state<=S5;    
                    else state <= S1;
			S2:	if(pi_money == 2'b01)
					   state <= S3;
					else if(pi_money == 2'b10)
                        state<=S4;
                    else if(pi_money == 3'b101)
                            state<=S5;    
                    else state <= S2;
			S3:	if(pi_money == 2'b00)
						state<=S3;
				else if(pi_money == 2'b01)
                        state<=S4;
                else state <= S5;
			S4:	if(pi_money == 2'b00)
					state<=S4;			    
                else state <= S5;	
            S5: if(pi_money == 2'b01)
                   state<=S1;
                else if(pi_money == 2'b10)
                    state<=S2;
                else if(pi_money == 3'b101)
                     state<=S5;
                else state <= IDLE; 			
			default:state<=IDLE;	
			endcase
			
always@(posedge sclk or negedge rst_n)
	if(!rst_n)
		po_cola<=1'b0;
		else if((state == S3&&pi_money==2'b10)||(pi_money== 3'b101))
			po_cola<=1'b1;
		//else if(state == S5 && pi_money == 3'b101)
		//	po_cola=2'b10;			
		else
			po_cola<=1'b0;   //
			
always@(posedge sclk or negedge rst_n)
		if(!rst_n)
		po_money<=1'b0;
		else if((state == S1&&pi_money == 3'b101)||(state == S4&&pi_money == 3'b10))
			po_money<=1'b1;	
		else if((state == S2&&pi_money == 3'b101))
             po_money<=2'b10;
        else if(state == S3&&pi_money == 3'b101)
              po_money<=2'b11;
        else if(state == S4&&pi_money == 3'b101)
             po_money<=3'b100;                            
		else po_money<=1'b0;
endmodule
\\testbench
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2019/03/29 20:46:57
// Design Name: 
// Module Name: tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
`timescale 1ns/1ns
module	tb();
		reg 	sclk;
		reg 	rst_n;
		reg 	[2:0]pi_money;
		wire	[2:0]po_cola;
		wire  	[2:0]po_money;

initial
		begin
			sclk=0;
			rst_n=1;
			pi_money=0;
			#20
			rst_n=0;
			#20
            rst_n=1;
			#20
			pi_money=0;
			#20
            pi_money=1;
            #20
            pi_money=2;
            #20
            pi_money=2;
            #20
            pi_money=5;
            #20
            pi_money=1;
            #20
            pi_money=5;
            #20
            pi_money=2;
            #20
            pi_money=5;
            #20
            pi_money=0;                                                                                    
		end
		
always # 10 sclk=~sclk;
//always # 50 pi_money={$random}%8;

fsm fsm_cola_ctrl_inst(
		.sclk			(sclk		),
		.rst_n			(rst_n		),
		.pi_money		(pi_money	),
		              
		.po_cola		(po_cola	),
		. po_money      ( po_money  )
);
endmodule

仿真结果

在这里插入图片描述由于我用的
仿真是正确的

总结

虽然我这里写了三个always,但我觉得这仍然是两段式,一个描述状态转移及转移条件,一个描述输出结果,也就是逻辑组合。
但我总觉得自己的写法很繁琐,本来S5的状态转移是

S5<=IDLE;

但是仿真结果显示,不能在S5的状态下判断付的钱,从而不能正确输出,所以改成了上面的代码
如果错误,希望大家批评指正。
多多交流哦~

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/wb3jdw58/article/details/88920364

智能推荐

oracle 12c 集群安装后的检查_12c查看crs状态-程序员宅基地

文章浏览阅读1.6k次。安装配置gi、安装数据库软件、dbca建库见下:http://blog.csdn.net/kadwf123/article/details/784299611、检查集群节点及状态:[root@rac2 ~]# olsnodes -srac1 Activerac2 Activerac3 Activerac4 Active[root@rac2 ~]_12c查看crs状态

解决jupyter notebook无法找到虚拟环境的问题_jupyter没有pytorch环境-程序员宅基地

文章浏览阅读1.3w次,点赞45次,收藏99次。我个人用的是anaconda3的一个python集成环境,自带jupyter notebook,但在我打开jupyter notebook界面后,却找不到对应的虚拟环境,原来是jupyter notebook只是通用于下载anaconda时自带的环境,其他环境要想使用必须手动下载一些库:1.首先进入到自己创建的虚拟环境(pytorch是虚拟环境的名字)activate pytorch2.在该环境下下载这个库conda install ipykernelconda install nb__jupyter没有pytorch环境

国内安装scoop的保姆教程_scoop-cn-程序员宅基地

文章浏览阅读5.2k次,点赞19次,收藏28次。选择scoop纯属意外,也是无奈,因为电脑用户被锁了管理员权限,所有exe安装程序都无法安装,只可以用绿色软件,最后被我发现scoop,省去了到处下载XXX绿色版的烦恼,当然scoop里需要管理员权限的软件也跟我无缘了(譬如everything)。推荐添加dorado这个bucket镜像,里面很多中文软件,但是部分国外的软件下载地址在github,可能无法下载。以上两个是官方bucket的国内镜像,所有软件建议优先从这里下载。上面可以看到很多bucket以及软件数。如果官网登陆不了可以试一下以下方式。_scoop-cn

Element ui colorpicker在Vue中的使用_vue el-color-picker-程序员宅基地

文章浏览阅读4.5k次,点赞2次,收藏3次。首先要有一个color-picker组件 <el-color-picker v-model="headcolor"></el-color-picker>在data里面data() { return {headcolor: ’ #278add ’ //这里可以选择一个默认的颜色} }然后在你想要改变颜色的地方用v-bind绑定就好了,例如:这里的:sty..._vue el-color-picker

迅为iTOP-4412精英版之烧写内核移植后的镜像_exynos 4412 刷机-程序员宅基地

文章浏览阅读640次。基于芯片日益增长的问题,所以内核开发者们引入了新的方法,就是在内核中只保留函数,而数据则不包含,由用户(应用程序员)自己把数据按照规定的格式编写,并放在约定的地方,为了不占用过多的内存,还要求数据以根精简的方式编写。boot启动时,传参给内核,告诉内核设备树文件和kernel的位置,内核启动时根据地址去找到设备树文件,再利用专用的编译器去反编译dtb文件,将dtb还原成数据结构,以供驱动的函数去调用。firmware是三星的一个固件的设备信息,因为找不到固件,所以内核启动不成功。_exynos 4412 刷机

Linux系统配置jdk_linux配置jdk-程序员宅基地

文章浏览阅读2w次,点赞24次,收藏42次。Linux系统配置jdkLinux学习教程,Linux入门教程(超详细)_linux配置jdk

随便推点

matlab(4):特殊符号的输入_matlab微米怎么输入-程序员宅基地

文章浏览阅读3.3k次,点赞5次,收藏19次。xlabel('\delta');ylabel('AUC');具体符号的对照表参照下图:_matlab微米怎么输入

C语言程序设计-文件(打开与关闭、顺序、二进制读写)-程序员宅基地

文章浏览阅读119次。顺序读写指的是按照文件中数据的顺序进行读取或写入。对于文本文件,可以使用fgets、fputs、fscanf、fprintf等函数进行顺序读写。在C语言中,对文件的操作通常涉及文件的打开、读写以及关闭。文件的打开使用fopen函数,而关闭则使用fclose函数。在C语言中,可以使用fread和fwrite函数进行二进制读写。‍ Biaoge 于2024-03-09 23:51发布 阅读量:7 ️文章类型:【 C语言程序设计 】在C语言中,用于打开文件的函数是____,用于关闭文件的函数是____。

Touchdesigner自学笔记之三_touchdesigner怎么让一个模型跟着鼠标移动-程序员宅基地

文章浏览阅读3.4k次,点赞2次,收藏13次。跟随鼠标移动的粒子以grid(SOP)为partical(SOP)的资源模板,调整后连接【Geo组合+point spirit(MAT)】,在连接【feedback组合】适当调整。影响粒子动态的节点【metaball(SOP)+force(SOP)】添加mouse in(CHOP)鼠标位置到metaball的坐标,实现鼠标影响。..._touchdesigner怎么让一个模型跟着鼠标移动

【附源码】基于java的校园停车场管理系统的设计与实现61m0e9计算机毕设SSM_基于java技术的停车场管理系统实现与设计-程序员宅基地

文章浏览阅读178次。项目运行环境配置:Jdk1.8 + Tomcat7.0 + Mysql + HBuilderX(Webstorm也行)+ Eclispe(IntelliJ IDEA,Eclispe,MyEclispe,Sts都支持)。项目技术:Springboot + mybatis + Maven +mysql5.7或8.0+html+css+js等等组成,B/S模式 + Maven管理等等。环境需要1.运行环境:最好是java jdk 1.8,我们在这个平台上运行的。其他版本理论上也可以。_基于java技术的停车场管理系统实现与设计

Android系统播放器MediaPlayer源码分析_android多媒体播放源码分析 时序图-程序员宅基地

文章浏览阅读3.5k次。前言对于MediaPlayer播放器的源码分析内容相对来说比较多,会从Java-&amp;amp;gt;Jni-&amp;amp;gt;C/C++慢慢分析,后面会慢慢更新。另外,博客只作为自己学习记录的一种方式,对于其他的不过多的评论。MediaPlayerDemopublic class MainActivity extends AppCompatActivity implements SurfaceHolder.Cal..._android多媒体播放源码分析 时序图

java 数据结构与算法 ——快速排序法-程序员宅基地

文章浏览阅读2.4k次,点赞41次,收藏13次。java 数据结构与算法 ——快速排序法_快速排序法