增强秒表 basys-3 实现_增强型秒表-程序员宅基地

技术标签: fpga开发  

在这里插入图片描述


module CLK_to_clk
#(parameter T1MS = 7500000) 
(
    input CLK,rst,
    output clk
);
    reg [32:0]count;
    always @(posedge CLK,posedge rst)
    begin
        if(rst)
            count<=0;
        else 
        begin 
            if(count < T1MS)
                count<= count+1 ;
            else count <= 0;
        end
    end
    assign clk = (count==T1MS)?1:0;
endmodule

module stopwatch(
    input CLK,rst,
    input go,up, // up信号 =1 则 倒计时
    output reg [3:0]M,D,
    output reg [5:0]SS
    );
    wire clk;
    CLK_to_clk C(CLK,rst,clk);
    reg [3:0]M_next,D_next;
    reg [5:0]SS_next;
always@(posedge CLK,posedge rst)
    begin
        if(rst)
            begin
                M <= 4'b0;
                D <= 4'b0;
                SS <= 6'b0;
            end
        else 
           if(go == 1)
           begin 
             M <= M_next;
             D <= D_next;
             SS <= SS_next;
           end
end



always @(posedge clk,posedge rst)
begin
    if(rst)
        begin
            D_next <= 0;
            SS_next <=0;
            M_next <=0;
        end
    else begin
        if(up==1)
            begin 
                if(D != 9)
                    D_next = D + 1; 
                else 
                    begin
                    D_next = 4'd0;
                    if(SS != 59)
                        SS_next = SS + 1;
                    else
                        begin
                        SS_next = 6'd0;
                        if(M != 9)
                            M_next = M +1;
                        else 
                            M_next = 0;
                        end
                    end
            end
        else
        begin
            if(D != 0)
                D_next = D - 1; 
            else 
            begin
                D_next = 4'd9;
                if(SS != 0)
                    SS_next = SS - 1;
                else
                    begin
                    SS_next = 6'd59;
                    if(M != 0)
                        M_next = M - 1;
                    else 
                        M_next = 4'd9;
                    end
            end
        end
    end
end

endmodule

module display_stopwatch(
    input CLK,rst,
    input [3:0]D,M,
    input [5:0]SS,
    output reg [10:0]display_out,
    output reg point
);
reg [19:0]count=0; 
reg [2:0] sel=0; 
parameter T2MS=1000; 
wire [3:0] digit_h[59:0];
wire [3:0] digit_l[59:0];
wire [6:0] seg_show[9:0];
generate
    genvar i;
    for(i=0;i<60;i=i+1)
    begin: init_SS
        assign digit_l[i] = (i%10) ;//秒的个位
        assign digit_h[i] = (i/10) ;//秒的十位
    end
endgenerate

assign seg_show[0] = 7'b0000001;
assign seg_show[1] = 7'b1001111;
assign seg_show[2] = 7'b0010010;
assign seg_show[3] = 7'b0000110;  
assign seg_show[4] = 7'b1001100;
assign seg_show[5] = 7'b0100100;
assign seg_show[6] = 7'b0100000;
assign seg_show[7] = 7'b0001111;
assign seg_show[8] = 7'b0000000;
assign seg_show[9] = 7'b0000100;


always@(posedge CLK,posedge rst) 
    if(rst)
        display_out <= 11'b0;
    else 
    begin   
         case(sel) 
         0:begin display_out<={
    4'b0111 , seg_show[M]};  point <= 0;end  // minute
         1:begin display_out<={
    4'b1011 , seg_show[ digit_h[SS] ]}; point <=1 ;end  // Second_high
         2:begin display_out<={
    4'b1101 , seg_show[ digit_l[SS] ]}; point<=0;end // second_low
         3:begin display_out<={
    4'b1110 , seg_show[D]};point<=0; end  // Dsec
         default:display_out<=11'b1111_1111111; 
         endcase 
    end 
    
always@(posedge CLK) 
 begin 
     count<=count+1; 
     if(count==T2MS) 
     begin 
         count<=0; 
         sel<=sel+1; 
         if(sel==3) 
         sel<=0; 
     end 
end

endmodule

module top_stopwatch(
    input CLK,rst,
    input go, up,
    output [10:0]display_out,
    output point
);
    wire [3:0] M,D;
    wire [5:0] SS; 
    stopwatch stp(
        .CLK(CLK),
        .rst(rst),
        .go(go),
        .up(up),
        .M(M),
        .SS(SS),
        .D(D)
    );
    display_stopwatch dis_stp (
        .CLK(CLK),
        .rst(rst),
        .D(D),
        .SS(SS),
        .M(M),
        .display_out(display_out),
        .point(point)
    );
endmodule

约束文件

set_property PACKAGE_PIN W5 [get_ports CLK] 
create_clock -add -name sys_clk_pin -period 10.00 -waveform {
    0 5} [get_ports CLK]
set_property PACKAGE_PIN V17 [get_ports go] 
set_property PACKAGE_PIN V16 [get_ports up] 
set_property PACKAGE_PIN T18 [get_ports rst] 
set_property PACKAGE_PIN V7 [get_ports point] 
set_property IOSTANDARD LVCMOS33 [get_ports point]
set_property IOSTANDARD LVCMOS33 [get_ports go]
set_property IOSTANDARD LVCMOS33 [get_ports up]  
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports CLK] 
set_property PACKAGE_PIN W4 [get_ports {
    display_out[10]}] 
set_property PACKAGE_PIN V4 [get_ports {
    display_out[9]}] 
set_property PACKAGE_PIN U4 [get_ports {
    display_out[8]}] 
set_property PACKAGE_PIN U2 [get_ports {
    display_out[7]}] 
set_property PACKAGE_PIN W7 [get_ports {
    display_out[6]}] 
set_property PACKAGE_PIN W6 [get_ports {
    display_out[5]}] 
set_property PACKAGE_PIN U8 [get_ports {
    display_out[4]}] 
set_property PACKAGE_PIN V8 [get_ports {
    display_out[3]}] 
set_property PACKAGE_PIN U5 [get_ports {
    display_out[2]}] 
set_property PACKAGE_PIN V5 [get_ports {
    display_out[1]}] 
set_property PACKAGE_PIN U7 [get_ports {
    display_out[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[9]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[8]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[7]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[6]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[5]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[4]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[3]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[1]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[2]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[10]}]

这个写法不太规范,生成的clk不应该当作时钟用。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_52957100/article/details/130007382

智能推荐

使用nginx解决浏览器跨域问题_nginx不停的xhr-程序员宅基地

文章浏览阅读1k次。通过使用ajax方法跨域请求是浏览器所不允许的,浏览器出于安全考虑是禁止的。警告信息如下:不过jQuery对跨域问题也有解决方案,使用jsonp的方式解决,方法如下:$.ajax({ async:false, url: 'http://www.mysite.com/demo.do', // 跨域URL ty..._nginx不停的xhr

在 Oracle 中配置 extproc 以访问 ST_Geometry-程序员宅基地

文章浏览阅读2k次。关于在 Oracle 中配置 extproc 以访问 ST_Geometry,也就是我们所说的 使用空间SQL 的方法,官方文档链接如下。http://desktop.arcgis.com/zh-cn/arcmap/latest/manage-data/gdbs-in-oracle/configure-oracle-extproc.htm其实简单总结一下,主要就分为以下几个步骤。..._extproc

Linux C++ gbk转为utf-8_linux c++ gbk->utf8-程序员宅基地

文章浏览阅读1.5w次。linux下没有上面的两个函数,需要使用函数 mbstowcs和wcstombsmbstowcs将多字节编码转换为宽字节编码wcstombs将宽字节编码转换为多字节编码这两个函数,转换过程中受到系统编码类型的影响,需要通过设置来设定转换前和转换后的编码类型。通过函数setlocale进行系统编码的设置。linux下输入命名locale -a查看系统支持的编码_linux c++ gbk->utf8

IMP-00009: 导出文件异常结束-程序员宅基地

文章浏览阅读750次。今天准备从生产库向测试库进行数据导入,结果在imp导入的时候遇到“ IMP-00009:导出文件异常结束” 错误,google一下,发现可能有如下原因导致imp的数据太大,没有写buffer和commit两个数据库字符集不同从低版本exp的dmp文件,向高版本imp导出的dmp文件出错传输dmp文件时,文件损坏解决办法:imp时指定..._imp-00009导出文件异常结束

python程序员需要深入掌握的技能_Python用数据说明程序员需要掌握的技能-程序员宅基地

文章浏览阅读143次。当下是一个大数据的时代,各个行业都离不开数据的支持。因此,网络爬虫就应运而生。网络爬虫当下最为火热的是Python,Python开发爬虫相对简单,而且功能库相当完善,力压众多开发语言。本次教程我们爬取前程无忧的招聘信息来分析Python程序员需要掌握那些编程技术。首先在谷歌浏览器打开前程无忧的首页,按F12打开浏览器的开发者工具。浏览器开发者工具是用于捕捉网站的请求信息,通过分析请求信息可以了解请..._初级python程序员能力要求

Spring @Service生成bean名称的规则(当类的名字是以两个或以上的大写字母开头的话,bean的名字会与类名保持一致)_@service beanname-程序员宅基地

文章浏览阅读7.6k次,点赞2次,收藏6次。@Service标注的bean,类名:ABDemoService查看源码后发现,原来是经过一个特殊处理:当类的名字是以两个或以上的大写字母开头的话,bean的名字会与类名保持一致public class AnnotationBeanNameGenerator implements BeanNameGenerator { private static final String C..._@service beanname

随便推点

二叉树的各种创建方法_二叉树的建立-程序员宅基地

文章浏览阅读6.9w次,点赞73次,收藏463次。1.前序创建#include&lt;stdio.h&gt;#include&lt;string.h&gt;#include&lt;stdlib.h&gt;#include&lt;malloc.h&gt;#include&lt;iostream&gt;#include&lt;stack&gt;#include&lt;queue&gt;using namespace std;typed_二叉树的建立

解决asp.net导出excel时中文文件名乱码_asp.net utf8 导出中文字符乱码-程序员宅基地

文章浏览阅读7.1k次。在Asp.net上使用Excel导出功能,如果文件名出现中文,便会以乱码视之。 解决方法: fileName = HttpUtility.UrlEncode(fileName, System.Text.Encoding.UTF8);_asp.net utf8 导出中文字符乱码

笔记-编译原理-实验一-词法分析器设计_对pl/0作以下修改扩充。增加单词-程序员宅基地

文章浏览阅读2.1k次,点赞4次,收藏23次。第一次实验 词法分析实验报告设计思想词法分析的主要任务是根据文法的词汇表以及对应约定的编码进行一定的识别,找出文件中所有的合法的单词,并给出一定的信息作为最后的结果,用于后续语法分析程序的使用;本实验针对 PL/0 语言 的文法、词汇表编写一个词法分析程序,对于每个单词根据词汇表输出: (单词种类, 单词的值) 二元对。词汇表:种别编码单词符号助记符0beginb..._对pl/0作以下修改扩充。增加单词

android adb shell 权限,android adb shell权限被拒绝-程序员宅基地

文章浏览阅读773次。我在使用adb.exe时遇到了麻烦.我想使用与bash相同的adb.exe shell提示符,所以我决定更改默认的bash二进制文件(当然二进制文件是交叉编译的,一切都很完美)更改bash二进制文件遵循以下顺序> adb remount> adb push bash / system / bin /> adb shell> cd / system / bin> chm..._adb shell mv 权限

投影仪-相机标定_相机-投影仪标定-程序员宅基地

文章浏览阅读6.8k次,点赞12次,收藏125次。1. 单目相机标定引言相机标定已经研究多年,标定的算法可以分为基于摄影测量的标定和自标定。其中,应用最为广泛的还是张正友标定法。这是一种简单灵活、高鲁棒性、低成本的相机标定算法。仅需要一台相机和一块平面标定板构建相机标定系统,在标定过程中,相机拍摄多个角度下(至少两个角度,推荐10~20个角度)的标定板图像(相机和标定板都可以移动),即可对相机的内外参数进行标定。下面介绍张氏标定法(以下也这么称呼)的原理。原理相机模型和单应矩阵相机标定,就是对相机的内外参数进行计算的过程,从而得到物体到图像的投影_相机-投影仪标定

Wayland架构、渲染、硬件支持-程序员宅基地

文章浏览阅读2.2k次。文章目录Wayland 架构Wayland 渲染Wayland的 硬件支持简 述: 翻译一篇关于和 wayland 有关的技术文章, 其英文标题为Wayland Architecture .Wayland 架构若是想要更好的理解 Wayland 架构及其与 X (X11 or X Window System) 结构;一种很好的方法是将事件从输入设备就开始跟踪, 查看期间所有的屏幕上出现的变化。这就是我们现在对 X 的理解。 内核是从一个输入设备中获取一个事件,并通过 evdev 输入_wayland

推荐文章

热门文章

相关标签